时间:2023-03-16 17:42:41
引言:寻求写作上的突破?我们特意为您精选了12篇数字电路设计论文范文,希望这些范文能够成为您写作时的参考,帮助您的文章更加丰富和深入。
引言
三电平(ThreeLevel,TL)整流器是一种可用于高压大功率的PWM整流器,具有功率因数接近1,且开关电压应力比两电平减小一半的优点。文献[1]及[2]提到一种三电平Boost电路,用于对整流桥进行功率因数校正,但由于二极管整流电路的不可逆性,无法实现功率流的双向流动。文献[3],[4]及[5]提到了几种三电平PWM整流器,尽管实现了三电平,但开关管上电压应力减少一半的优点没有实现。三电平整流器尽管比两电平整流器开关数量多,控制复杂,但?具有两电平整流器所不具备的特点:
1)电平数的增加使之具有更小的直流侧电压脉动和更佳的动态性能,在开关频率很低时,如300~500Hz就能满足对电流谐波的要求;
2)电平数的增加也使电源侧电流比两电平中的电流更接近正弦,且随着电平数的增加,正弦性越好,功率因数更高;
3)开关的增加也有利于降低开关管上的电压压应力,提高装置工作的稳定性,适用于对电压要求较高的场合。
1TL整流器工作原理
TL整流器主电路如图1所示,由8个开关管V11~V42组成三电平桥式电路。假定u1=u2=ud/2,则每只开关管将承担直流侧电压的一半。
以左半桥臂为例,1态时,当电流is为正值时,电流从A点流经VD11及VD12到输出端;当is为负值时,电流从A点流经V11及V12到输出端,因此,无论is为何值,均有uAG=uCG=+ud/2,D1防止了电容C1被V11(VD11)短接。同理,在0态时,有uAG=0;在-1态时,有uAG=uDG=-ud/2,D2防止了电容C2被V22(VD22)短接。
右半桥臂原理类似,因此A及B端电压波形如图2所示,从而在交流侧电压uAB上产生五个电平:+ud,+ud/2,0,-ud/2,-ud。
每个半桥均有三种工作状态,整个TL桥共有32=9个状态。分别如下:
状态0(1,1)开关管V11,V12,V31,V32开通,变换器交流侧电压uAB等于0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。
状态1(1,0)开关管V11,V12,V32,V41开通,交流侧输入电压uAB等于ud/2,输入端电感电压等于us-u1。电容C1电压被正向(或反向)电流充电(u1<us,或放电us<u1),C2通过直流侧负载放电。
状态2(1,-1)开关管V11,V12,V41,V42开通,输入电压uAB=ud,正向(或反向)电流对电容C1及C2充电(或放电),由于输入电感电压反向,电流is逐渐减小。
状态3(0,1)开关管V12,V21,V31,V32开通,交流侧输入电压uAB等于-ud/2,输入电感上电压等于us+u1。电容电压被正向(或反向)电流充电(或放电)。
状态4(0,0)开关管V12,V21,V32,V41开通,输入端电压为0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。
状态5(0,-1)开关管V12,V21,V41,V42开通,交流侧电压为ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。
状态6(-1,1)开关管V21,V22,V31,V32开通,uAB=-ud,正向(或反向)线电流对两个电容C1及C2充电(或放电),由于升压电感电压正向,线电流将逐渐增加。
状态7(-1,0)开关管V21,V22,V32,V41开通,交流侧电压电平为-ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。
状态8(-1,-1)开关管V21,V22,V41,V42开通,输入端电压为0,升压电感电压等于us,两个电容C1及C2均通过负载电流放电。电流is根据电压us的变化而增加(或减小)。
2硬件电路设计
从图2可以看出,在输入电压频率恒定的情况下,要在变换器交流侧产生一个三电平电压波形,输入电压一个周期内应定义两个操作范围:区域1和区域2,如图3所示。
在区域1,电压大于-ud/2,并且小于ud/2,在电压uAB上产生三个电平:-ud/2,0,ud/2。同理,在区域2,电压绝对值大于ud/2,并小于直流侧电压ud,在电压正半周期(或负半周期)上产生两个电平:ud/2和ud(或-ud/2和-ud)。相应电平的工作区域如表1所列。
表1相应电平的工作区域
工作区域
1
2
1
2
us>0
us<0
us>0
us<0
高电平
ud/2
ud
-ud/2
低电平
-ud/2
ud/2
-ud
为方便控制,这里定义两个控制变量SA及SB,其中
根据表1可以设计一个开关查询表,如表2所列,将其存储在DSP中,当进行实时控制时,便可根据输入电压、电流信号,从表中查询所需采取的开关策略。
表2查询表
SA
SB
V11
V12
V21
V22
V31
V32
V41
V42
uAB
1
1
1
1
1
1
1
1
1
1
1
ud/2
1
-1
1
1
1
1
ud
1
1
1
1
1
-ud/2
1
1
1
1
-1
1
1
1
1
ud/2
-1
1
1
1
1
1
-ud
-1
1
1
1
1
-ud/2
-1
-1
1
1
1
1
整个控制系统以一片DSP为核心,控制框图如图4所示。
锁相环电路产生一个与电源电压同相位的单位正弦波形,ud的采样信号通过低速电压外环调节器进行调节,电流is的采样信号通过高速电流内环G1进行调节,电容C1端直流电压u1与电容C2端直流电压u2分别通过两个PI调节器进行调节,补偿环G2用于补偿两只电容电压的不平衡。
检测的线电流命令is与参考电流is*比较,产生的电流误差信号送至电流内环G1,以跟踪电源电流变化,产生的线电流波形将与主电压同相位。
3软件设计
系统采用两个通用定时器GPT1及GPT2来产生周期性的CPU中断,其中GPT1用于PWM信号产生、ADC采样和高频电流环控制(20kHz),GPT2用于低频电压环的控制(10kHz),两者均采用连续升/降计数模式。低速电压环的采样时间为100μs,高速电流环采样时间为50μs。中断屏蔽寄存器IMR,EVIMRA和EVIMRB使GPT1在下降沿和特定周期产生中断,GPT2则仅在下降沿产生中断。
整个程序分为主程序模块、初始化模块、电流控制环计算模块、电压控制环计算模块、PWM信号产生模块等五大部份。程序流程如图5所示。
4仿真结果及实验
1概述
TH71101是双超外差式结构的无线电接收芯片,工作在300~450MHzISM频段,能与TH7107等芯片配套,实现ISM频段无线模拟和数字信号传输;内部包含一个低噪声放大器、双混频器、压控振荡器、PLL合成器、晶体振荡器等电路。能接收模拟和数字FSK/FM/ASK信号。FSK数据速率可达40kb/s,ASK数据速率达80kb/s,FM带宽15kHz;灵敏度111dBm。电源电压2.5~5.5V,工作电流8.2mA,待机电流<100nA。适用于ISM(工业、科学和医学)频率范围内的各种应用,如数据通信系统、无钥匙进入系统、遥控遥测系统、安防系统等。
2芯片封装与引脚功能
TH71101采用LQFP32封装,各引脚功能如表1所列。
表1TH71101引脚功能
引脚号符号功能
1VEE地
2GAIN-LNA低噪声放大器(LNA)增益控制
3OUT-LNALNA输出,连接到外接的LC调谐回路
4IN-MIX1混频器1(MIX1)输入,单端阻抗约33Ω
5VEE地
6IF1P中频1(IF1)集电极开路输出
7IF1N中频1(IF1)集电极开路输出
8VCC电源输入
9OUT-MIX2混频器2(MIX2)输出,输出阻抗约330Ω
10VEE地
11IFA中频放大器(IFA)输入,输入阻抗约2.2kΩ
12FBC1连接外接的中频放大器反馈电容
13FBC2连接外接的中频放大器反馈电容
14VCC电源输入
15OUT-IFA中频放大器输出
16IN-DEM解调器(DEMOD)输入
17VCC电源输入
18OUT-OA运算放大器(OA)输出
19OAN运算放大器(OA)负极输入
20OAP运算放大器(OA)正极输入
21RSSIRSSI输出,输出阻抗约36kΩ
22VEE地
23OUTPFSK/FM正输出,输出阻抗100300kΩ
24OUTNFSK/FM负输出,输出阻抗100300kΩ
25VEE地
26RO基准振荡器输入,外接晶体振荡器和电容
27VCC电源输入
28ENRX模式控制输入
29LF充电泵输出和压控振荡器1(VCO1)控制输入
30VEE地
31IN-LNALNA输入,单端阻抗约26Ω
32VCC电源输入
3芯片内部结构与工作原理
TH71101内部结构框图如图1所示。芯片内包含低噪声放大器(LNA)、两级混频器(MIX1、MIX2)、锁相环合成器(PLLSynthesizer)、基准晶体振荡器(RO)、充电泵(CP)、中频放大器(IFA)、相频检波器(PFD)等电路。
LNA是一个高灵敏度接收射频信号的共发、共基放大器。混频器1(MIX1)将射频信号下变频到中频1(IF1),混频器2(MIX2)将中频信号1下变频到中断信号2(IF2),中频放大器(IFA)放大中频信号2和限幅中频信号并产生RSSI信号。相位重合解调器和混频器3解调中频信号。运算放大器(OA)进行数据限幅、滤波和ASK检测。锁相环合成器由压控振荡器(VCO1)、反馈式分频器(DIV16和DIV2)、基准晶体振荡器(RO)、相频检波器(PFD)、充电泵(CP)等电路组成,产生第1级和第2级本振信号LO1和LO2。
图2FSK接收电路图
使用TH71101接收器芯片可以组成不同的电路结构,以满足不同的需求。对于FSK/FM接收,在相位重合解调器中使用IF谐振回路。谐振回路可由陶瓷谐振器或者LC谐振回路组成。对于ASK结构,RSSI信号馈送到ASK检波器,ASK检波器由OA组成。
图3ASK接收电路
TH71101采用两级下变频。MIX1和MIX2由芯片内部的本振信号LO1和LO2驱动,与射频前端滤波器共同实现一个高的镜像抑制,如表2和表3所列。有效的射频前端滤波是在LNA的前端使用SAW、陶瓷或者LC滤波器,在LNA的输出使用LC滤波器。
表2基准频率fREF、本振频率fL0、中频fIF与FRF镜像抑制关系
注入类型低端高端
fREF(fRF-fIF)/16fRF+fIF/16
fLO16·fREF16·fREF
fIFfRF-fLOfLO-fRF
fRFimagefRF-2fIFfRF+2fIF
表3在fIF=10.7MHz时,基准频率fREF、本振频率fL0与fRF镜像抑制的关系
参数fRF=315MHzfRF=315MHzfRF=433.6MHzfRF=433.6MHz
低高低高
fREF/MHz19.0187520.3562526.4312527.76875
fLO/MHz304.3325.7422.9444.3
fRFimage/MHz293.6336.4412.2455.0
1、引言
几十年前,人们所做的复杂数字逻辑电路及系统的设计规模比较小也比较简单,其中所用到的FPGA或ASIC设计工作往往只能采用厂家提供的专用电路图输入工具来进行。为了满足设计性能指标,工程师往往需要花好几天或更长的时间进行艰苦的手工布线。硕士论文,ITL。工程师还得非常熟悉所选器件的内部结构和外部引线特点,才能达到设计要求。这种低水平的设计方法大大延长了设计周期。
近年来,FPGA 和ASIC 的设计在规模和复杂度方面不断取得进展,而对逻辑电路及系统的设计的时间要求却越来越短。硕士论文,ITL。这些因素促使设计人员采用高水准的设计工具,如:硬件描述语言(Verilog HDL 或VHDL)来进行设计。
然而,Verilog HDL 硬件描述语言缺乏对于电路逻辑关系描述和分析的形式化方法,尤其是缺乏基于时序的逻辑描述。这对于化简和检验正确性都带来了麻烦。而ITL语言描述则提供了另一套基于时序的形式化解决方法,对Verilog HDL 硬件描述语言起到了很好的补充作用。
2、ITL简介
区间时态逻辑(interval Temporal logic,ITL)是一种用于描述离散区间或时段的逻辑系统,它是时态逻辑的一个分支。我们可以把一个区间(interval)看作是一个有限的状态序列;这里的状态就是从所有变量到其值的映射。区间的长度定义为该区间内状态数减 1。因此,只含有一个状态的区间的长度为0。一个区间s0… sn 的长度是n。一个只有单个状态的区间的长度是0。
ITL 的基本表达式和公式的语法如下所示
表达式:
公式:
其中,μ为一个整数值;a 为静态变量(在区间内不改变);A 为状态变量(在区间内
值可变);g 是函数符号;p 为谓词。硕士论文,ITL。下面我们以RS 触发器为例来说明ITL的使用:
一个RS 触发器是一个简单的储存和保持一位数据的记忆单元。两个输入决定了互补的输出和。S(Set)为置一,R(Reset)为置零。
图1 RS 触发器结构图图2 RS 触发器的真值表
按照传统的方法,根据真值表列出输入输出变量的逻辑方程,得到:
Qn+1=S+¬R*Qn
S*R=0
而用 ITL描述可以直接把逻辑关系(动作、谓词)写出来,再化简:
把时间等参数变量考虑进去,我们就可以得到RS触发器的结构方程:
3、Tempura
用ITL 能够方便准确地描述基于时序的数字电路,然而缺乏可执行能力,运算公式不能直接进行计算机仿真和验证。Tempura 则是ITL 强有力的可编程可执行的工具集,大大增强了ITL 的实用性。Tempura 是一种可直接执行的数字电路时序逻辑设计方式,是 ITL 的一个可执行子集。发展到今天,Tempura 已经能够直接在Windows 环境下运行。硕士论文,ITL。只要熟悉ITL 的语句,对照着Tempura 自带的指导工具,使语法公式一一对应就可以进行编程和仿真,十分方便。硕士论文,ITL。
下面我们还是以RS 触发器为例来说明
用VerilogHDL采用门级描述为:
moduleRS_FF(R,S,Q,QB);
input R,S;
output Q,QB;
nor (Q,R,QB);
nor (QB,S,Q);
endmodule
用VerilogHDL采用行为描述为:
moduleRS_FF(R,S,Q,QB);
input R,S;
output Q,QB;
reg Q;
assign QB=~Q;
always@(R or S)
case({R,S})
2'b01:Q<=1;
2'b10:Q<=0;
2'b11:Q<=1'bx;
endcase
endmodule
而根据前文所述的用 ITL描述的RS触发器改写成Tempura 语言,代码如下:
为了检验设计结果,需要输入仿真参量,代码如下:
(S=0) and (R=0)and (Q=0) and (Qbar=0) and
for lis<<1,0>,<0,0>,<0,1>,<1,0>,<0,0>>
do (len(5)and (Sgets l0) and (R gets l1)
)
and
(S,R)latch(Q,Qbar)
仿真结果如下,和真值表一样。
图3 仿真结果
传统的数字电路设计方法繁琐且不严谨,而且往往缺乏时序逻辑的描述能力。针对这个问题,HDL的使用为硬件设计师提供了一个非常好的分析和设计数字硬件的工具,也为沟通软件和硬件提供了一种方法。然而,这些 HDL 一般是为模拟数字硬件的功能而设计,往往比较适用于较低层级的设计。同时传统的HDL 设计方法缺乏对数字硬件推理和证明的机制;对行为描述的能力较弱,缺乏形式设计或验证的支持工具。形式化的设计方法则提供另一种强有力的数字电路描述。在软件工程中,形式方法已经取得一些引人注目的成就。但是在硬件设计领域,形式方法的应用研究和成就仍然在起步阶段。在国内的面向市场的数字电路设计,情况更是这样,形式方法的使用很是有限。ITL 等形式方法(特别是配以成熟高效的可执行工具,如Tempura), 将有效提高我们描述和设计数字电路。硕士论文,ITL。正如本文开头所说,在硬件设计速度赶不上软件速度的今天,形式方法将给我们带来一种新的突破思路,这在未来的电路设计领域将有广阔的应用和发展空间。
参考文献
[1]Benjamin C. Mosszkowski. ITL HandbookDecember 6, 2007
[2]Antonio Cau. Interval Temporal Logic Anot so short introduction 2009
[3]舒风笛。《面向嵌入式实时软件的需求规约语言及检测方法》,武汉大学,2004
科学技术不断发展,促进了电子设备的不断提高,现在人们广泛应用电子设备,尤其智能手机的应用,其用户不断增加,用电设备密度不断增加,在空间应用过程中,可能造成电磁环境的不断恶化,电子设备之间可能造成干扰,影响电子设备的正常工作,必须提高电子设备之间的抗干扰性能,因此我们在数字电路设计的过程中,采用数字电路集成电路的方式进行提高抗干扰性能,利用科技手段,不断提升抗干扰能力,符合现在数字电路设计的发展趋势。
1硬件抗干扰技术在数字电路设计环节的应用
1.1安全接地技术
安全接地技术是一种常用的技术,把机壳接入大地,让电量转移到大地,减少电荷积累情况,减少因为静电等原因造成人与机械设备等受到安全影响。设备装置在实际应用过程中,绝缘层可能出现破损等现象,就可能造成机壳带带电,这时候的电量是足够大的,不能及时转移,可能造成严重的后果,利用安全接地技术可以把多余电荷转移出去,还能及时切断电源等,对其安全性能起到保护作用。
1.2避雷击接地技术
用电设备基本都需要采用避雷击效果,一般通常采用避雷针,当出现雷击的情况下,可以进行电荷的转移,下雨天气打雷时候,出现雷击的情况是产生电荷的,一旦遇到用电设备等,瞬间可以产生大量的电荷,对周围人和物产生损害现象,必须采用技术及时转移电荷,减少对人的伤害,对用电设备也起到保护作用。
1.3屏蔽接地技术
屏蔽接地技术是一种常用的对用电设备的保护作用措施,在实际应用过程中,也是设计人员经常采用的方式,具有一定的应用价值。屏蔽技术需要和接地技术配合使用,其屏蔽效果才能够提升。像是静电屏蔽技术。若是在带正电导体周围围上完整的金属屏蔽体,则于屏蔽体的内侧所获取的负电荷将会等同于带电导体,同时外侧所存在的正电荷也和带电导体等量,这就造成外侧区域仍旧存在电场。若是对金属屏蔽体进行接地处理,那么外侧的正电荷可能会流入大地之中,则可以消除外侧区域的电场,也就是金属屏蔽之中将会对正电导体的电场进行屏蔽处理。屏蔽接地技术的应用,在技术上起到革新作用,在应用过程中,起到重要保护作用,具有一定现实应用价值。
2软件抗干扰技术在数字电路设计环节的应用
2.1数字滤波技术
数字滤波技术是一种仿真技术,基于硬件设备的仿真技术,但在实际应用过程中,不依赖硬件技术,只是通过模拟技术进行设置,实现数字滤波。在具体应用过程中,先借助于硬件技术进行干扰技术的应用,减少干扰性能,在具体通过软件进行有效的滤波,起到真正的数字滤波技术,减少抗干扰能力。数字滤波技术的方法有多种多样,我们在应用过程中,需要根据实际情况,选择适应的数字滤波技术的处理方式,起到真正数字滤波作用,在数字电路设计的过程中,利用软件技术进行有效应用,是设计环节中的重要步骤。
2.2软件“看门狗”的使用
软件程序在应用过程中,往往容易出现死循环等现象,在数字电路设计过程中,设计者要考虑这方面问题,采用“看门狗”技术,防治程序死循环现象发生。硬件看门狗就是一个定时器对系统进行有效的监控,合理的根据监控情况进行有效处理,起到看门狗的效果。
3实例论述
3.1通过硬软件技术促使计算机系统脱离死态
为了使干扰问题得到及时的解决,在硬件方面可以使用一个硬件计时器,
3.2程序“跑飞”阶段进行数据保存的硬软件办法
由于计算机系统在被强电磁干扰或影响之后,计算机系统之中正在正常运行的程序或许会被打乱,进而在内存中出现转移情况,同时这种转移是不能被控制的,也就是发生“跑飞”情况。该问题的出现或许会造成确保软件正常运行的重要参数被破坏、冲掉。通过硬软件结合措施、方法的运用,能够在出现断电事故或者是发生强干扰情况之后,使各重要参数得到保护,从而使系统的连续运转或者是再恢复获得可靠的保证。
参考文献:
[1]刘海权,田露,宋立业.传统光电编码器防震动抗干扰电路的优化[J].电气技术,2015(12).
[2]杨昆.综述单片机控制系统的抗干扰设计[J].黑龙江科技信息,2016(04)
[3]李娜.数字集成电路低功耗优化设计解析[J].通讯世界,2016(15).
[4]王剑锋.DCS控制系统抗干扰分析[J].通讯世界,2015(19).
[5]姚年春,徐涛.电机保护装置的抗干扰措施设计[J].信息技术与信息化,2014(04).
[6]熊轶娜,吴跃明,陈洁.数控机床控制系统的抗干扰分析[J].组合机床与自动化加工技术,2009(08).
中图分类号:G71 文献标识码:A 文章编号:1672-3791(2015)11(c)-0033-02
数字电路EDA也是电子信息工程学院各个专业的一门必修课,它是一门实践性很强的课程,是实践教学中不可缺少的重要教学环节,EDA实验使学生了解通过软件仿真的方法可以高效的完成硬件电路设计的计算机技术,初步掌握自顶向下的设计方法、EDA设计流程等,会用原理图输入和硬件描述语言VHDL设计逻辑电路。
数字电路EDA课程是高等院校电气、电子信息类专业的一门重要的实践课程,具有理论性与实践性强的特点,优化该课程的实践教学,对提高课程教学质量至关重要,由注重传授知识向注重培养学生综合素质方向转变,随着大规模集成电路的飞速发展,电子类高新技术的开发也更加依赖于EDA技术的应用,通过实践课程,学生掌握使用EDA工具设计数字电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程。
1 优化课程的实践教学
数字电路课程引入EDA技术,不仅极大地丰富课程选题,而且同一课题出现多种实现方案,提高了学生的创新思维能力,对后续专业基础课程学习、电子设计竞赛、撰写论文等起到了启蒙和引导的作用。
2 综合运用基础知识,解决工程实际应用能力
EDA(Electronic Design Automation)是以计算机为平台,原理图输入法、硬件描述语言(VHDL)为设计语言,可编程逻辑器件为实验载体。
自顶向下的模块设计方法就是从系统的总体要求出发,自上而下地逐步将设计内容细化,最后完成系统硬件的总体设计。设计的三个层次如下。
第一层次是行为描述。实质上就是对整个系统的数学模型的描述(抽象程度高)。
第二层次是RTL方式描述,又称寄存器传输描述(数据流描述),以实现逻辑综合。
第三层次是逻辑综合,就是利用逻辑综合工具,将RTL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)。在门电路级上再进行仿真,并检查定时关系。
完成硬件设计的两种选择,由自动布线程序将网络表转换成相应的ASIC芯片制造工艺,做出ASIC芯片。将网络表转换成FPGA编程代码,利用FPGA器件完成硬件电路设计。
3 应用实例
首先建立一个新的工程,然后建立新文件并输入如下的代码:
module sled(seg,dig,clock,rst_n,);
input clock;
input rst_n;
output [7:0] seg;
output [3:0] dig;
reg [7:0] seg_reg;
reg [3:0] dig_reg;
reg [3:0] disp_dat;
reg [36:0] count;
always @ (posedge clock )
begin
if(!rst_n)
count = 37'b0;
else
count = count + 1'b1;
dig_reg= 4'b0000;//
end
always @ (count[3])
begin
disp_dat = {count[7:4]};
end
always @ (disp_dat)
begin
case (disp_dat)
4'h0 : seg_reg = 8'hc0;
4'h1 : seg_reg = 8'hf9;
4'h2 : seg_reg = 8'ha4;
4'h3 : seg_reg = 8'hb0;
4'h4 : seg_reg = 8'h99;
4'h5 : seg_reg = 8'h92;
4'h6 : seg_reg = 8'h82;
4'h7 : seg_reg = 8'hf8;
4'h8 : seg_reg = 8'h80;
4'h9 : seg_reg = 8'h90;
4'ha : seg_reg = 8'h88;
4'hb : seg_reg = 8'h83;
4'hc : seg_reg = 8'hc6;
4'hd : seg_reg = 8'ha1;
4'he : seg_reg = 8'h86;
4'hf : seg_reg = 8'h8e;
endcase
end
assign seg=seg_reg;
assign dig=dig_reg;
endmodule
保存后,再编译,之后选Tools->Run EDA Simulation Tool->EDA RTL Simulation进行仿真。最后配置引脚,下载并运行。
4 营造良好的实践教学环境并建立科学的评价方法
基于EDA技术的数字电路实践教学主要由计算机,EDA软件开发工具,可编程芯片及实验硬件开发系统组成,该院已建有EDA 实验室,配有多台安装Quartus开发软件的PC机,为每人或者小组完成课题提供良好的实验条件。
如何评价设计成果,客观,合理的给出成绩,既能反映出真实水平又能激发学生的学习积极性和创新意识,不以最终结果正确性作为评价的唯一标准,而对设计过程的每个环节都给出量化的评分标准。
5 结语
数字电路实验中引入EDA技术,蕴含着数字系统设计的新思路、新方法,代表了现代数字系统设计的方向,EDA技术采用“自上向下”设计数字系统的方法,通过设计逻辑功能模块来实现数字系统功能,不仅大大提高了工作效率,而且提高了系统的可靠性,使设计更加灵活,学生在大二期间,就能够通过数字电路EDA实验,掌握EDA技术,对将来后续课程的学习,以及对学生提高创新能力,工程设计能力都是十分有利,数字电路EDA实验中应用EDA技术可使学生突破硬件资源,制作耗时的限制,充分发挥想象力和创造性,设计出别具特色的作品来,使课程设计的效果大大提高,应用EDA技术设计数字电路,可为实验的选题拓宽范围,增加了课程的趣味性、综合性、创造性,以不同类型,不同难度的设计任务供学生选择。
参考文献
【Abstract】The research-based teaching requires teachers to organize teaching by explore ways to cultivate students’curiosity and creativity.Using the FPGA technology students’learning interest and study effect can be inspired and improved in experiment teaching of digital circuits and system. Though the reform and exploration, the students’ability of exploration research and engineering skills can be improved for the national undergraduate electronic design contest.In this paper,under the guidance of research-based teaching,application of FPGA technology to the digital circuits and system experiment teaching be carried on the investigative teaching method and the exploration practice.
【Key words】Digital circuits and system experimental course;Research-based teaching;Bachelor engineering education;Interactive teaching
0 引言
课程教学是高等教育的主渠道,推动课程体系创新和教学内容、方法、手段的改革,已逐渐成为高等教育创新性人才培养目标改革的趋势之一[1-3]。电子信息类实验教学是高等院校理工类专业学生重要的专业基础课,也是学生在大学教育阶段的主要工程性实践环节,它对培养学生的素质和能力十分重要,实验教学效果对学生专业技能的培养以及就业都将产生直接影响[4-8]。
数字电路与系统实验课程是数字电路理论课程学习到工程师转换的一座重要的实践桥梁。我们采用研究性教学模式为了进一步提升学生的创新意识和创新能力,创立类似科学研究的氛围,以引起学生深刻体验和共鸣。通过该实践环节的磨砺,使学生巩固和加深对数字电路和模数混合系统的理解,增强工程应用和工程实践能力,为学生参加全国大学生电子设计大赛打下了坚实的基础。电工电子实验系列课程是南京邮电大学独立设置的实验实践课程,也是重要的学科基础课程;同时也是理论性、实践性和工程技术性都很强的必修课程;是江苏省重点建设课程和省级精品课程。本文在研究性教学思想的指导下, 应用FPGA技术对数字电路与系统实验教学进行研究性教学实践, 探索实施研究性教学的教学方法。
1 授课内容的变化
目前CPLD/FPGA有着越来越广阔的应用前景,它和DSP及CPU并称为电子系统的三大基石。CPLD/FPGA具有体系结构先进、支持在线编程、应用灵活、集成度高、功能强大、设计周期短、开发成本低、开发工具先进、产品标准化程度高、性能稳定以及可在线检验等优点,被广泛应用于通信、仪器仪表、工业控制、信息处理等系统的设计与生产中。而掌握CPLD/FPGA设计技术已成为当今硬件工程师和IC设计工程师的必备技能。
在授课内容上体现了继承与发展。即:继承基于中小规模集成的电路设计并实现数字电路,发展目前产业常用的CPLD/FPGA实现数字电路。通过本课程授课内容的更新,使学生通过本课程掌握VHDL的程序设计方法与CPLD/FPGA的硬件设计与实现方法,培养在硬件、软件方面独立分析问题、解决问题的能力。
通过授课内容的变化,使学生既掌握设计与调测基于中小规模集成电路的方法,锻炼学生的基本电路的调测和差错能力,同时训练学生掌握新技术、新工艺和新软件,为学生解决复杂工程问题提供必要的知识积累。
2 授课形式的变化
依托我校电子科学与技术国家级实验中心平台,并结合授课教师的科研背景,我们编写了偏重与基础实验技术与工程应用的普通高等院校电工电子实验实践系列教材,通过具有代表性的工程案例,强化电工电子实验课程的工程技术性,并形成电工电子实验技术知识体系。同时积极完善该课程的教学视频、电子教案、综合芯片数据表文件、实验案例以及工程案例等线上资源,保证课程的系统性与完整性。
在授课形式上打通理论课和实践课程的鸿沟,将数字电路理论课中的设计报告和研究报告在实践课程中加以实现。要求实验报告都要以科技论文的形式书写,尽早锻炼学生的实验设计能力和文字表达能力,提升学生的研究和沟通能力。
3 考核形式的变化
针对研究性教学的形式,我们构建了多元化的考核方式。评分标准既包括了平时实验报告的提交与报告质量、实验操作情况、期中考试成绩和期末考试成绩,同时还包括了交互式学习的内容。在传统的“平时+期中+期末”考核方式的基础上,加入了平时实验操作成绩。考试和期中测验允许学生携带自行准备的参考资料进入考场,同时试卷分为实践部分(60分)和实验技术与实验理论部分(40分)。同时,由学生自主完成各种创新实验,培养学生的工程实践能力和创新能力。这种灵活的考核模式,有利于学生锻炼工程实践能力,完备学生的电子电路设计与实现的知识体系。
4 结束语
针对学生在工程实践、创新能力薄弱的难题和瓶颈,我们在电工电子实验实践课程中引入研究型教学模式,以有效地培养学生的创新能力。改进教学内容,切实倡导以学生为主体的教学模式,促使学生主动学习,独立思考,营造了良好的学习氛围。该教学改革的实施有力地推动了我校实践教学课程教学体系的改革与创新,学生参加创新实践活动积极踊跃,表现出极大的热情和自信,参加竞赛并获各类奖项越来越多。我校在大学生电子设计大赛、挑战杯、全国大学生机器人大赛和美国机器人公开赛等重要赛事中,屡创佳绩,近3年来获得省级以上大学生电子类设计竞赛奖共计近200项,其中国家级90余项。基于研究型的数字电路与系统实验实践课程改革有效地提升了学生的综合素质和创新能力。
【参考文献】
[1]许晓东,卞良.本科工程教育研究性教学探索与实践[J].高等工程教育研究. 2014(2):43-49
[2]成谢锋,郭宇锋,黄丽亚,肖建,孙科学.大电子实验教学平台建设和教学方式的改革[J].实验室研究与探索,2015(9):164-167.
[3]K-xue Sun,Yu-han Cheng,Xie-feng Cheng and Jian Xiao.Massively open online courses effective practices on engineering education.2015 International Conference on Education,Management and Systems Engineering(EMSE2015).2015(8):127-130
[4]郭志雄.电工电子实践教学改革的探索与实践[J].教育与职业,2013(2):155-156.
[5]李锡,林连山,麻志滨,樊薇薇.加强实验教学改革与创新,推动电工电子实验中心建设[J].实验技术与管理,2012(7):118-120.
一、三本院校课程教学现状
三本学生中多才多艺的较多,平时开展各种社团活动比较频繁,学生自主创新思维活跃,但能够有条不紊自主学习的学生可能只有一少部分,许多学生对学习没有兴趣,课余时间几乎不学习。在教学过程中,刚开始学生还可以接受一些新知识,但随着教学的深入,学习难度的增大,学生感到了困难,随之学习的兴趣也越来越低,主动学习便是一句空话,学生也就是为了应付考试,甚至不少学生都是考前突击。这一特点在《数字电路与逻辑设计》课程的教学中也同样存在。要提高本课程的教学质量,我们在定位教学目标,设置教学内容,采用教学手段和方法的时候都必须以这一实际情况为前提。
二、教学理念,教育目标
三本教学有别于一本和二本,教学注重于学生应用能力和综合素质的培养,教学过程中突出培养学生应用知识,分析解决实际问题的能力,以学生为主体,以教师为主导,以教学为主线,树立能力培养目标为重中之重的思想,实现人才培养模式多元化,努力培养“宽口径、厚基础、强能力、高素质”,适应国际竞争和社会需求的应用型人才。三本教育要加强通识教育,注重文理渗透理工结合,体现本科教育的基础性和可发展性。努力探索人才培养新举措,深入推进人才培养模式改革,实现多元化人才培养新格局,大力实施“育人为本,全面发展”的人才培养战略,拓宽基础学科的范围和基础教学的内涵。
三、教材选取
考虑到三本学生理论基础较差,教材选取不应选择理论研究或理论推导比较复杂的教
材,否则会让学生还未涉及到重要的知识点就已经因为难度过大而丧失信心。教材选取要以应用为宗旨,强调理论与实践相结合。编写原则遵循由浅入深,通俗易懂,重点和难点采取阐述与比喻相结合,例题与习题相结合,实例与实验相结合,针对数字电路课程实践性强的特点,增加了与教材相应的实践环节教学内容。
四、教学内容
在三本的《数字电路与逻辑设计》教学中,应该注重基础教学,要求学生熟悉布尔代数的基本定律,掌握卡诺图与公式化简法;掌握数字电路中常用的基本单元电路和典型电路构成、原理与应用;掌握常用的中小规模组合逻辑电路和集成电路功能和设计方法。具有查阅集成电路器件手册,合理选用集成电路器件的能力。对集成芯片,重点分析电路的外特性和逻辑功,以一些典型集成电路为例介绍如何查阅集成电路手册、资料等,使学生学会在实际应用中正确选择和使用集成芯片[11]。
对于三本学生而言,在电路设计中要求学生掌握基本的设计方法,但可以适当降低对电路设计的要求,增强电路分析方法的教学。学生可以分析较复杂的电路,并且能够利用已有的电路进行修改,使电路满足自己设计的需要。
五、教学手段与教学方法
(一)采用现代化教学
《数字电路与逻辑设计》课程的特点就是电路图、逻辑图特别多,如果采用板书形式教学,既浪费课堂时间也达不到好的教学效果。教学过程中采用多媒体教学,可以使一些抽象的、难以解决的概念变得形象,易于学生接受。对于集成电路的分析和设计,为了增强演示效果,除了在PPT中添加更多的动画效果外,还可以采用Flash或Authorware软件制作动画效果,使电路的变化过程一目了然。
(二)结合实际教学
在授课过程中,针对三本学生可以结合生活中的应用举例,如目前LCD显示、数字温度计、十字路通灯控制、数字频率计、多媒体PC机里的显示卡、声卡是用数电中的数/模(D/A)转换实现图像显示和声音播放、制造业中的数控机床等都应用了数电技术。通过这些实例的介绍,可以使学生真正了解数字电路课程的重要性,从而提高对数字电路学习的兴趣和学习积极性。
(三)网络教学
网络教学可有两种方式,一是上传教师课堂教学过程的视频到校园网;二是教师制作图文并茂的课件,以及与该课程有紧密关系的资料一起上传到网上。目前大部分三本学生宿舍都可以登录校园网,学生可以在任何时间进行网络教学。网络教学的方式解决了学生传统的看书自学枯燥无味的问题。
六、实践教学
实践教学一般分为基础实验和课程设计两大部分。基础实验教学从属于理论教学,实验内容均为验证性实验。教师给出实验步骤、电路图,学生按部就班、验证结果,通过基础实验,使得学生对于课堂所学基本概念和方法的理解和掌握更加透彻,同时培养学生科学实验的精神和方法,训练严格严谨的工作作风。基础实验是理论和实际相互联系的一个重要教学环节,但是仅仅是这种以教师为主导的实验模式,不能激发起学生学习兴趣和积极性,学生仍然不善于综合运用所学知识分析和解决问题。课程设计的目标就是为了加强基础、拓宽知识面、增强学生的自主学习和工程实验能力、发展个性、启发创新、加强理论与实验。学生根据实验任务,自行设计电路和测试方案,增强学生自主学习能力,学生既动脑又动手,解决问题的能力大大提高[12]。
除此之外,还可以设置一些电子设计大赛,成立电子设计兴趣小组,在教师的指导下开展设计性和专题研究性实验,为希望进一步发展的学生提供良好的学习环境和创新研究场所,培养学生的团队协作精神,发挥学生学习的自主性和创造性,极大地提高学生的学习兴趣和动手能力。
七、结束语
随着高等教育的普及,三本学生的数量和质量也在日益增高,同时随着数字技术的广泛
普及,数字化社会已经到来,大规模、超大规模数字集成电路以其低功耗、高速度等特点, 应用越来越广泛。因此如何在有限的时间内使三本的学生扎实掌握数字电路基础知识理论和基本操作技能,培养分析问题、解决问题的能力,是教师在教学过程中需要认真思考的问题。使学生在传统的数字电路逻辑分析、逻辑设计思维训练的基础上进一步建立起现代数字电路的应用与设计思想,掌握现代电子技术的新技术和新器件,为走向实际工作岗位打下坚实的基础。
参考文献
[1] 谢丽.《数字电路与逻辑设计》教学改革实践.吉林省教育学院学报,2012年第02期
[2] 李琰,张翌呖. 数字电路的教学改革与创新.计算机光盘软件与应用,2011年第22期
[3] 李小珉,叶晓慧.深化《数字电路与逻辑设计》课程改革[J].长江大学学报(自科版),2OO4(4)
[4] 田东.数字电路课程设计的改革与探讨.实验技术与管理,2006年05期
[5] 马达灵,张云云.《数字电路》课程教学改革之我见.集宁师专学报,2008年12月第30卷第4期
[6] 邓朝霞.《数字电路》课程整合与优化的改革.广西教育学院学报,2006年第6期
1 引言
VHDL (Very HighSpeed Integrated Circuit Hardware Description Language)是美国国防部在20世纪80年代中期开始推出的一种通用的硬件描述语言。作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,VHDL语言在电子工程领域已成为事实上的通用硬件描述语言。VHDL为设计者提供了一种全新的数字系统的设计途径。使用VHDL语言不只是意味着代码的编写,更是为了便于建立层次结构和元件结构的设计,利用VHDL编写的电路模块可被重复利用。故可以简化设计者的设计工作,大大缩短设计时间,减少硬件设计成本,提高工作效率。
2 VHDL的优点
VHDL主要用于描述数字系统的结构、行为、功能和接口。应用VHDL进行工程设计的优点是多方面的:
(1)具有更强的行为描述能力,是系统设计领域最佳的硬件描述语言。
(2)具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。
(3)VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能。该功能能满足市场大规模系统高效、高速的需要,可替代多人甚至多个组共同工作。
VHDL的许多优点给硬件设计者带来了极大的方便, 自然被广大用户接受, 得到众多厂商的大力支持。使用VHDL设计数字系统已成为当今电子设计技术的必然趋势[4 ] 。
3 “自顶向下”( Top-Down) 的设计方法
随着数字系统设计规模的急剧加大,“自顶向下”的设计方法成为现代EDA设计的趋势。论文参考。传统的系统硬件设计方法是采用自下而上的设计方法。即系统硬件的设计是从选择具体元器件开始的,并用这些元器件进行逻辑电路设计,完成系统各独立功能模块设计,然后再将各功能模块连接起来,完成整个系统的硬件设计。而在VHDL的设计中,采用“自顶向下”( Top-Down) 的设计方法,设计常用流程图如图1所示,系统被分解为各个模块的集合后,可以对设计的每个独立模块指派不同的工作小组,这些小组可以工作在不同地点,甚至可以分属不同的单位,最后将不同的模块集成为最终的系统模型,并对其进行综合测试和评价。论文参考。“自顶向下”设计的基本步骤为:
(1) 分析系统的内部结构并进行系统划分,确定各个模块的功能和接口;
(2) 编写程序,输入VHDL代码,并将其编译成标准的VHDL文件;
(3) VHDL 源代码进行综合优化处理;
(4) 配置,即加载设计规定的编程数据到一个或多个LCA器件中的运行过程,以定义器件内的逻辑功能块和其互连的功能。
(5) 下载验证,通过编程器或下载电缆载入将步骤(4) 得到的器件编程文件下载到目标芯片中,以验证设计的正确性。
图1 VHDL工程设计流程图
Fig.1 The design flow based on VHDL
4 VHDL的设计举例
下面以4选1数据选择器为例说明使用VHDL的设计过程。4选1数据选择器框图如图2所示。论文参考。
该数据选择器的VHDL描述如下:
entity sel is
port(a,b,c,d,sel_1:IN bit;
out_1:OUT bit);
end sel;
architectureexample of sel is
begin图2 4选1数据选择器
process((a,b,c,d, sel_0, sel_1) Fig.2 The one-in-four selector
begin
if sel_0=‘0’andsel_1=‘0’then
out_1<=a;
elsef sel_0=‘0’andsel_1=‘1’then
out_1<=b;
elsef sel_0=‘1’andsel_1=‘0’then
out_1<=c;
else
out_1<=d;
end if;
end process;
end example;
利用VHDL强大的仿真功能,经过编译后运行仿真,之后可以产生信号波形,用以分析仿真结果。本例中产生波形如图3所示。仿真结果符合设计功能的要求。
图3 仿真结果
Fig.3The waveform of simulation
5 结束语
本文以4选1数据选择器设计为例,说明利用VHDL设计电路系统的基本方法和过程。用VHDL语言实现电路的设计过程,是一个以软件设计为主,器件配置相结合的过程。这种软件设计与硬件设计的结合,以一片器件代替由多片小规模集成数字电路组成的电路,其优势已经越来越明显。在进行系统设计时,如果系统比较复杂,所需器件数目多,并要求体积小、速度快、功耗低时,首先应该考虑使用VHDL进行芯片设计,然后再进行整体设计。
参考文献
[1] Stafan Sjoholm,Lennart Lindh. 边计年,薛宏熙译. 用VHDL设计电子线路[M]. 北京:清华大学出版社,1999.
[2] 潘松,黄继业. EDA技术实用教程[M]. 科学出版社,2002.
[3] 侯伯亨,顾新. VHDL硬件描述语言与数字逻辑电路设计[M].西安: 西安电子科技大学出版社, 2004.
[4] 赵晨光等. VHDL语言在电子设计实践中的应用. 沈阳航空工业学院学报[J]. 2004,21(1):57-59.
笔者通过近几年的调研与观察发现,电子类专业学生对本专业“厌学”现象比较普遍,且有逐年递增的趋势。部分学生的专业知识学习过程是“被动”学习,学习态度也极不认真,没有钻研精神;部分学生虽然会“主动”学习,学习态度认真刻苦,但其对自己未来的专业职业前途感到迷茫且没有自信。文章就产生这些心理状态的原因进行了分析,提出了“成就式”教学模式,以期激发学生对专业学习的兴趣及对专业知识的好奇心。以“数字电子钟逻辑电路”为例
一、设计思路
数字电子钟是一种日常生活中学生常接触的一种电子产品,人们使用的比较频繁,把数字电子钟作为练习项目,容易调动学生的学习积极性。数字电子钟利用数字电路来显示时、分、秒的计时装置,它具有显示直观、走时准确、无机械传动装置等优点,因而比传统的机械钟更实用,得到广泛应用。
二、学情分析
(一)学生已经熟悉了数字电子钟的电路组成图以及具备了石英晶体振荡器和分频器组成的秒脉冲发生器的工作原理。
(二)学生熟练校时电路;清零装置;六十进制的秒、分计数器及二十四进制的时计数器;以及秒、分、时的译码显示部分等的应用与安装。
三、教学目标
(一)知识目标
1.会用中小规模集成电路设计一台能显示时、分、秒的数字电子钟。2.掌握秒、分为00――59 六十进制计数器,时为00――23 二十四进制计数器使3.掌握由晶体振荡电路产生1Hz的标准脉冲信号使用方法。
(二)能力目标
培养学生动手能力,可手动校准。只要将开关置于校准位置,即可对分别对分、时进行手动脉冲输入校准或连续脉冲校准调整。
四、项目教学过程
(一)第一阶段:确定项目
确定项目的课题是该专业课程的关键知识模块,并且能体现课程的重、难点。以完成该课程的教学任务及学生掌握一定的技能为目标。
1.主项目:数字电子钟逻辑电路
2.子项目:(1)1Hz标准脉冲电路;(2)(单次脉冲,连续脉冲电路;(3)秒、分、时计数电路;(4)译码、显示电路;(5)整点报时电路
(二)第二阶段:项目的准备:
1.项目计划准备:教师要公布教学计划及项目任务,并负责辅导学生解惑答疑,有需要时要对任务进行示范;学生要根据自身特点选择项目任务。2.实施计划准备:教师负责人员分配,分成小组,每组6-7人,确定一名组长,并负责一个子项目的任务;学生的任务成员分工、任务分解、查阅资料、搜集数据、研究学习方法。3.知识技能准备:教师要与学生一起学习数字电路结构框图设计方法,学生要积极配合。
(三)第三阶段:项目的实施
1.振荡电路的设计与制作;本振荡器由集成电路定时器555与RC组成的多谐振荡器,1MHz的振荡器经6次10分频得到1Hz的标准脉冲,供时钟计数器使用,学生自行完成电路连接,R为可调电阻,微调R1可以调出1MHz。
2.分频电路的设计与制作:先对时钟信号进行14级分级,选用CD4060,然后再进行二级分频,二级分频器可采用中规模集成电器74Ls390就得到1Hz的时钟信号。有学生完成电路的连接。
参考文献:
[1] 陈华.项目教学法探讨.武汉冶金管理干部学院学报[J].第18卷第2期.2008,6
[2] 齐会娟.基于项目的学习在高职计算机类专业课中的应用研究[J],中国优秀硕士学位论文全文数据库,2011(10)
[3] 宋铁花.杜翠花.农科大学生英语学习状况的分析[J].山西农业大学学报[J].2000(3)
[4] 邓瑞卿.项目教学法在中等职业学校土壤肥料学教学中的实践与研究[J].2009年度专业硕士学位论文。
作者简介:何乐生(1977-),男,白族,云南昆明人,云南大学信息学院,副教授;余鹏飞(1975-),男,云南昆明人,云南大学信息学院,副教授。(云南 昆明 650091)
基金项目:本文系2013年云南大学本科实践教学能力提升项目的研究成果。
中图分类号:G642.0 文献标识码:A 文章编号:1007-0079(2014)02-0115-02
“数字逻辑设计”是计算机及其相关专业承前启后的重要专业基础课,该课程介绍计算机的基本结构——数字电路的原理以及设计方法,是“计算机组成原理”、“计算机体系结构”等后续专业核心课程的必要基础。
在就业形势严峻的背景下,社会和学生都对课程的内容及其实用性提出了更高的要求。计算机专业学生往往将自己未来的职业规划定位于软件开发,因此认为“数字逻辑设计”课程没有太大用处,出现轻视的思想。另外,大部分高校仍以已经过时的小规模通用集成电路作为主要教学内容,进一步加深了学生对“数字逻辑设计”课程无用的错误认识。怎样合理地将基于EDA技术的现代数字逻辑系统设计方法引入课堂和实践教学;将“数字逻辑设计”课程内容和计算机专业学生感兴趣的东西结合,提高学习积极性,为将来从事相关领域的工作打下必要的硬件基础,成为了“数字逻辑设计”课程改革必须解决的问题。[1-3]
一、目前教学工作中存在的问题及其成因
近年来,以数字技术为核心的半导体技术获得了飞跃式的发展,其功能和复杂程度呈几何级数增长。与之对应的是设计技术的规模化和正规化,软、硬件开发人员的职责划分越来越明显。作为偏软件方向的计算机专业的课程,“数字逻辑设计”的教学内容、体系、方法以及实验环节也应随之改变,以培养具有独立工作能力,满足行业需求的高素质人才。与此相反地,当前该课程依然沿用几十年来形成的精英式的大学教育思路,过于强调知识体系和理论深度,而放松对学生运用新知识进行综合设计的能力的培养。另外,“数字逻辑设计”与“电子工程”、“通信工程”等偏硬件专业的“数字电路”课程过于相似,强调电路的物理基础,轻视从宏观的系统层面对数字系统认识,导致教学效果不理想。笔者总结具体原因有以下几点。
1.教学内容脱离工程实际和学生实际情况
在严峻的就业形势下,学生都很关心学习所学课程到底能做什么,为自己提供怎样的竞争力。随着EDA技术的高度发展,基于CPLD和FPGA等可编程逻辑器件的数字系统设计技术已成为主流技术。然而目前大部分教材仍然采用传统理论教科书的编写方式,以“讲理”为主,过分强调双极性工艺的74系列门电路的实现方式,对EDA技术涉及不多。如果教师仍然采用“填鸭式”教学方法教授已经过时的知识,自然无法调动学生的积极性。
2.对不同专业的差异化教学问题注意不足
在软、硬件设计分工差异化的大背景下,应该将“数字逻辑设计”的教学重点放在系统级的设计,而对于门级电路的设计则只需要达到“知其然”的程度。在教学内容、教学体系、教学方法以及实验等具体教学环节上,应与“电子工程”、“通信工程”等偏硬件专业保持合理的差异化。
3.实践教学内容陈旧,无法满足社会对计算机人才的需求
当前实践教学内容多为验证74系列芯片的功能,而计算机相关专业的课程定位应是“理解计算机底层工作原理”,这种基于中小规模集成电路的验证性实验显然与专业定位不吻合。
4.连线式的数字电路实验箱(板),无法使学生建立对现代数字系统的感性认识
高等学校实验设备多采用“傻瓜式”的设计思路,即将实验电路尽量“功能化”和“模块化”,学生只需要在不同功能模块之间连线即可完成硬件设计。诚然,这在降低了实验难度的同时,提高了实验速度,降低了教师讲授实验课和维护实验室的难度。但 “连线式”的设计使学生不明白实验箱(板)上分离的一个个插孔是如何构成系统的,更无从谈到对计算机系统的感性认识。[2]
5.课程考核方式不科学
部分学生采用死记硬背的方式“对付”期末考试,常常出现“高分低能”现象。评价方式在无形中造成学生对实践环节学习的轻视心理,部分学生的学习以成绩合格为目标,忽视能力的获得。
二、“数字逻辑设计”的教学改革方案
综合考虑上述问题,笔者所在的教学团队认为,合理地取舍原有教学内容并将EDA技术引入教学,是提升教学水平和学习积极性的有效手段,并进行了一系列教改尝试。
1.结合学科发展和学生实际情况,合理优化课堂教学内容,提升其实用性
如前所述,数字系统设计已经进入电子设计自动化的“EDA时代”,作为计算机专业的课程,更有必要对传统教学内容有所取舍,做到“有所为,有所不为”。一方面,在保证为后续课程提供必要基础知识的同时,对于陈旧过时的理论加以扬弃;另一方面,必须对于近年来出现的,改变行业面貌的新技术有所涉及。
在传统教学内容的选取上,应秉承“必须”和“够用”的原则,[4,5]对后续课程较难理解的“组合、时序逻辑电路分析、设计方法”、“半导体存储器”等内容应保留较多的课堂教学时间,而对于“门电路的实现方法”、“竞争与冒险”等内容,则应大幅度地降低课堂教学时数。总体来讲,基本取消了74系列中小规模器件的课堂教学时间,而将时间用于进行“原则性”和“说理性”的教学,旨在帮助学生建立“计算机是由什么东西构成的”、“设计计算机时采用了什么原理”等系统性的基本概念。
在EDA新技术的扩展方面,教学团队根据计算机专业学生喜欢编程的特点,在教学中引入了硬件描述语言和可编程逻辑器件(PLD)两方面的内容。硬件描述语言Verilog HDL在语法上接近C语言,而C语言是计算机专业先修课程,学生掌握起来较容易。课堂教学采用了传统内容和描述语言穿行的方式,既保证了教学进度,又能防止学生出现“硬件描述语言和实际电路脱钩”的通病。可编程逻辑器件的教学,则安排在传统教学方案中进行“门电路实现”的学时进行,并将可编程逻辑器件的教学重点放在介绍CPLD和FPGA的原理及其常见问题。根据学生实际情况,还引入数字电路在计算机系统中的应用实例,以提升学生的学习兴趣。例如:加法器、乘法器的实现,点阵LED显示屏的显示与控制,PS/2鼠标键盘控制等内容,不但能帮助学生建立学以致用的学风,而且能够很好地回答学生“‘数字逻辑设计’课程有什么用”这样的问题,提高了学习积极性。
2.根据计算机相关专业学生实际情况,改革实践教学内容和手段
基于实验箱的实验存在诸多问题:首先,不利于学生建立系统观念,而将思路束缚于微小且具体的局部问题。其次,将“培养动手能力”这一广义的命题,狭隘地局限为“能够正确地完成连线”,不符合能力培养的要求。且在实际实验过程中,由于实验设备长期使用,往往由于接触不良等非关键因素造成实验失败,使学生失去学习兴趣。针对实践教学中凸显的问题,教研组采取了以下措施。
首先,将ModelSim和Multisim等EDA仿真软件引入实践教学。由于数字电路的仿真技术已经非常成熟,仿真结果和实际实验结果基本相同。采用软件仿真工具能够将学生从大量的连线工作中解脱出来,把精力集中在“数字系统的设计”本身,提高了实践教学的效率,且方便学生实现更为复杂的逻辑设计。
其次,采用FPGA作为实际动手的实验平台,开发了一种符合计算机专业“数字逻辑设计”教学需要的低成本FPGA实验板——Innovator_FPGA。在实践教学环节中,首先指导学生利用该实验平台,在Quartus II环境中首先采用原理图输入方式完成基本的验证性实验,以熟悉开发工具;然后采用硬件描述语言分别实现加法器、减法器、逻辑移位器等CPU必须的逻辑功能;最后指导学有余力的学生将上述模块综合为一个ALU(算术逻辑单元)。通过训练,学生们发现“数字逻辑设计”与自己天天打交道的计算机有着如此密切的联系,为将来的“计算机体系结构”课程打下了坚实的基础。
3.引导学生通过多种途径学习,改革课程考核方式,培养自学能力和创新能力
电子信息技术发展迅速,任何人都无法在大学阶段获取一生所需的所有知识,学生必须培养自己的自学的能力。教师引导学生采取以下方式自学:
(1)通过网络学习交流,推荐以下网站:可编程逻辑器件网(.cn)、周立功公司网站()、水木清华(\学术交流\电路设计)以及Altera公司官网论坛等。
(2)参与各种竞赛和学生课外科技活动,包括:全国大学生电子设计竞赛、挑战杯和Atlera大学生论文竞赛等。
(3)鼓励学生参与国家级、省级和校级的学生科技立项活动。
另外,课程考核是引导学生培养创新能力的指挥棒,也是帮助教师纠正教学方法的重要手段。在参考兄弟院校经验的基础上,[4-6]教学团队逐渐形成了自己的考核方式:成绩分为课程设计(占30%)、机考(占30%)、笔试(占40%)三个部分。其中课程设计部分要求学生在Innovator_FPGA实验板上设计具有一定功能的数字电路模块,最后根据设计理念的创新性、功能的实现程度和书面报告三者综合,给出课程设计成绩。机考部分要求学生在实验室现场完成一个简单模块的设计,以检验其软件仿真和调试能力。笔试部分则在减少客观题型的基础上,增加电路设计、HDL程序设计等主观性题型的比例。
三、结论
在进行课程改革的数年间,在上述一系列综合措施的促进下,学生的学习热情和创造激情得到了释放。同学们纷纷在实验室和宿舍利用廉价的实验套件开展学习、竞赛和科研活动,取得了一定的成绩,获得全国大学生电子设计竞赛国家级和省级奖项数十项,另外还获得国家级大学生科研立项三项,挑战杯科技作品竞赛获奖多项。越来越多的同学通过“数字逻辑设计”课程的学习建立了现代数字系统的概念,提高了自己的创新能力和综合素质。
参考文献:
[1]官骏鸣,汪虹.计算机专业《数字电路技术》课程教学改革[J].黄山学院学报,2011,13(3):108-110.
[2]于玉婷.EDA技术渐进式教学方法探讨[J].电脑知识与技术,
2011,7(11):8354-8355.
[3]吕燚,邓春健,黄杰勇.利用EDA技术全面改进数字电路课程教学[J].福建电脑,2008,(6):208-209.
[4]邹海云,高胜东,邓娜.《脉冲与数字电路》课程教学改革研究[J].实验科学与技术,2007,(1):71-74.
中图分类号: TN927.22 文献标识码:A 文章编号:1007-9416(2015)12-0000-00
USB测控应答机主要应用于民用卫星,是完成卫星与地面雷达站之间遥控、遥测、测距、测速等功能的关键单机。随着航天技术的发展,卫星对星载单机小型化、通用化的要求日益迫切。本文实现了一种数字化USB遥控接收机,采用混合集成射频前端和数字基带电路设计,满足了高动态、小型化、通用化的要求。
1 USB遥控接收机的实现原理
USB遥控信号的调制方式是PCM-PSK-PM,是一种符合国际航天测控标准的复合调制信号,一般遥控接收机需要对信号进行二次解调以得到遥控数据。传统的模拟USB遥控接收机仅具备中频解调的能力,为了实现基带解调功能还需要在系统中使用专门的单机或模块。而本文设计的遥控接收机增加了数字基带解调功能,大大简化了系统构成。
本文设计的USB遥控接收机的原理框图见图1,主要由接收射频前端和信号同步与解调两部分构成。接收射频前端采用二次下变频方案,接收链路由预选器、LNA、混频器、中频滤波器和中频放大器组成,还包括两个本振频率合成单元。信号同步与解调部分由中频载波捕获跟踪单元、中频解调单元和数字基带解调单元。中频载波捕获跟踪单元采用窄带载波跟踪环的设计,利用锁相环完成中频载波相位的同步。中频解调单元利用载波捕获跟踪得到的本地同步载波与中频信号进行相干相位解调。数字基带解调单元对中频解调输出的基带信号进行PSK解调,从而得到遥控PCM码流。
图1 USB遥控接收机原理框图
2混合集成射频前端设计
一般要求USB遥控接收机从卫星发射主动段到入轨运行整个过程不间断开机工作,由于距离变化导致接收信号功率变化范围至少60dB,考虑系统裕量,实际设计动态范围为70dB。USB遥控接收机的射频前端采用混合集成电路设计,预选器选用低损耗介质滤波器,插入损耗小于1dB。LNA噪声系数小于1.5dB。第一混频器的三个端口都设计了驱动增益模块,相比于单一无源混频器噪声系数大大降低,确保了整个系统级联噪声系数完全满足接收灵敏度要求。混频后还设计了自动增益控制(AGC),采用具备AGC检波功能和可变增益的中频放大器,在信号功率不低于噪声功率的情况下,可以精确控制稳定的中频输出功率。
3数字基带解调设计
数字基带解调单元主要由模数转换器AD和现场可编程门阵列FPGA组成。遥控基带信号首先进行模数转换,经过电压转换后进入FPGA进行信号处理,信号处理主要完成遥控PSK解调和数字量遥测的处理,解调和处理结果经过接口芯片进行电平转换并输出。PSK解调采用Costas环进行副载波同步和码字提取。AD采样时钟、Costas环工作时钟、遥控码字以及遥测量输出时钟都统一由独立的时钟晶振经过FPGA的时钟管理单元产生。数字基带解调单元的软件实现如图2所示。
图2 数字基带解调软件实现框图
4设计进步点
该遥控接收机采用混合集成射频前端设计和数字化基带解调设计,实现了高接收动态、小型化和通用化。具有以下进步点:(1)对接收链路进行了精心设计,通过合理设计屏蔽腔体结构和印制板布局,链路稳定,输出频谱满足要求。实际整机噪声系数为2.7dB,实测遥控接收灵敏度为-120dBm,实现动态范围82dB。动态范围与传统模拟USB接收机相比有了显著提高。(2)采用混合集成电路设计,优化结构布局,提高了产品内部空间利用率,接收机外形尺寸为190mm×135mm×20mm,与传统模拟USB接收机相比外形尺寸显著缩小。(3)采用锁相环同步载波作为本振合成的参考,仅需要在很小范围内改变VCXO的中心频率就可以覆盖整个USB测控频段。基带解调采用独立的工作时钟,与载波频率无关。该遥控接收机的设计具有较高的通用性。
5结语
该USB遥控接收机与传统模拟USB接收机相比,采用混合集成射频前端设计,增加了数字基带解调功能,具有高动态、小型化、通用化的特点。代表了卫星测控应答机小型化、通用化的发展方向。
参考文献
电子技术课程设计是在电子技术实验的基础上进行的综合性的实验训练,是电子技术课程的实践性教学环节,是对电子类和其他相近专业学生进行综合能力培养的实践课程,对于全面、系统、深入地理解与掌握电子系统的知识、设计方法具有重要的教学意义。
1.电子技术课程设计的重点与要求
本课程的重点是电路设计,内容侧重综合应用所学知识,设计制作较为复杂的功能电路或小型电子系统。一般给出实验任务和设计要求,通过电路方案设计、电路设计、电路安装调试和指标测试、撰写实验报告等过程,培养学生综合运用所学知识解决实际问题的能力,提高电路设计水平和实验技能。在实践中着重培养学生系统设计的综合分析问题和解决问题的能力,培养学生创新实践的能力。
电子技术课程设计一般要求学生根据题目要求,通过查阅资料、调查研究等,独立完成方案设计、元器件选择、电路设计、仿真分析、电路的安装调试及指标测试,并独立写出严谨的、文理通顺的实验报告。
具体地说,学生通过课程设计教学实践,应达到以下基本要求:建立电子系统的概念,综合运用电子技术课程中所学习到的理论知识完成一个电子系统的设计;掌握电子系统设计的基本方法,了解电子系统设计中的关键技术;进一步熟悉常用电子器件的类型和特性,掌握合理选用器件的原则;掌握查阅有关资料和使用器件手册的基本方法;掌握用电子设计自动化软件设计与仿真电路系统的基本方法;进一步熟悉电子仪器的正确使用方法;学会撰写课程设计总结报告;培养严肃认真的工作作风和严谨的科学态度。
2.电子技术课程设计的教学过程
电子技术课程设计是在教师指导下,学生独立完成课题,达到对学生理论与实践相结合的综合性训练,要求本课程设计涵盖模拟电路知识和数字电路知识,因此课程设计的选题要求包含数字电子技术和模拟电子技术。
教学环节可以分为以下四个部分。
2.1课堂讲授。
课程设计开始前,需要确定指导老师。由指导老师通过两学时的教学,明确课程设计的要求,主要内容包括课程介绍、教学安排、成绩评定方法等。
在课堂教学环节中,指导老师介绍课题的基本情况与要求,要求学生从多个课题中选择一个。
2.2设计与调试环节。
2.2.1前期准备、方案及电路设计。
前期准备包括选择题目、查找资料、确定方案、电路设计、电路仿真等。在确定方案时要求学生认真阅读教材,根据技术指标,进行方案分析、论证和计算,独立完成设计。设计工作内容如下:题目分析、系统结构设计、具体电路设计。
学生根据所选课题的任务、要求和条件进行总体方案的设计,通过论证与选择,确定总体方案。此后是对方案中单元电路进行选择和设计计算,称为预设计阶段,包括元器件的选用和电路参数的计算。最后画出总体电路图(原理图和布线图),此阶段约占课程设计总学时的30%。
2.2.2在实验室进行电路安装、调试,指标测试等。
在安装与调试这个阶段,要求学生运用所学的知识进行安装和调试,达到任务书的各项技术指标。
预设计经指导教师审查通过后,学生即可购买所需元器件等材料,并在实验箱上或试验板上组装电路。运用测试仪表调试电路、排除电路故障、调整元器件、修改电路(并制作相应电路板),使之达到设计指标要求。此阶段往往是课程设计的重点与难点,所需时间约占总学时的50%。
2.3撰写总结报告,总结交流与讨论。
撰写课程设计的总结报告是对学生写科学论文和科研总结报告能力的训练。学生写报告,不仅要对设计、组装、调试的内容进行全面总结,而且要把实践内容上升到理论高度。总结报告应包括以下方面:系统任务与分析、方案选择与可行性论证、单元电路的设计、参数计算及元器件选择、元件清单和参考资料目录。除此之外,还应对以下几部分进行说明:设计进程记录,设计方案说明、比较,实际电路图,功能与指标测试结果,存在的问题及改进意见,等等。
总结报告具体内容如下:课题名称、内容摘要、设计内容及要求、比较和选择设计的系统方案、画出系统框图、单元电路设计、参数计算和器件选择。画出完整的电路图,并说明电路的工作原理。组装调试的内容,包括使用的主要仪器和仪表;调试电路的方法和技巧;测试的数据和波形并与计算结果比较分析;调试中出现的故障、原因及排除方法。总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,列出系统需要的元器件清单,列出参考文献,收获、体会,并对本次设计提出建议。
2.4成绩评定。
课程的实践性不仅体现实际操作能力,而且体现独立完成设计和分析的能力。因此,课程设计的考核分为以下部分:设计方案的正确性与合理性。设计成品:观察实验现象,是否达到技术要求。(安装工艺水平、调试中分析解决问题的能力)实验报告:实验报告应具有设计题目、技术指标、实现方案、测试数据、出现的问题与解决方法、收获体会等。课程设计答辩:考查学生实际掌握的能力和表达能力,设计过程中的学习态度、工作作风和科学精神及创新精神,等等。
3.电子技术课程设计的步骤
在“电子技术基础”理论课程教学中,通常只介绍单元电路的设计。然而,一个实用的电子电路通常是由若干个单元电路组成的。通常将规模较小、功能单一的电子电路称为单元电路。因此,一个电子系统的设计不仅包括单元电路的设计,还包括总体电路的系统设计(总体电路由哪些单元电路构成,以及单元电路之间如何连接,等等)。随着微电子技术的发展,各种通用和专用的模拟和数字集成电路大量涌现,电子系统的设计除了单元电路的设计外,还包括集成电路的合理选用。电子电路的系统设计越来越重要,不过从教学训练角度出发,课程设计仍应保留一定的单元电路内容。
电子系统分为模拟型、数字型及两者兼而有之的混合型三种。
虽然模拟电路和数字电路设计的方法有所不同(尤其单元电路的设计),但总体电路的设计步骤是基本相同的。
电子电路的一般设计方法与步骤包括:总体方案的设计与方案论证、单元电路的设计、单元电路间的连接方法、绘制总体电路草图、关键电路试验、EDA仿真、绘制正式的总体电路图等。
4.电子技术课程设计的效果
学生经过这样系统训练后,各方面技能都通过考核,为后续课程的学习打下了扎实的基础。
参考文献:
[1]高吉祥,易凡,丁文霞等.电子技术基础实验与课程设计(第二版)[M].北京:电子工业出版社,2006.